Vi bøger
Levering: 1 - 2 hverdage

Bøger i Synthesis Lectures on Digital Circuits & Systems serien

Filter
Filter
Sorter efterSorter Serie rækkefølge
  • af Scott Smith
    252,95 kr.

    Designing Asynchronous Circuits using NULL Convention Logic (NCL) begins with an introduction to asynchronous (clockless) logic in general, and then focuses on delay-insensitive asynchronous logic design using the NCL paradigm. The book details design of input-complete and observable dual-rail and quad-rail combinational circuits, and then discusses implementation of sequential circuits, which require datapath feedback. Next, throughput optimization techniques are presented, including pipelining, embedding registration, early completion, and NULL cycle reduction. Subsequently, low-power design techniques, such as wavefront steering and Multi-Threshold CMOS (MTCMOS) for NCL, are discussed. The book culminates with a comprehensive design example of an optimized Greatest Common Divisor circuit. Readers should have prior knowledge of basic logic design concepts, such as Boolean algebra and Karnaugh maps. After studying this book, readers should have a good understanding of the differences between asynchronous and synchronous circuits, and should be able to design arbitrary NCL circuits, optimized for area, throughput, and power. Table of Contents: Introduction to Asynchronous Logic / Overview of NULL Convention Logic (NCL) / Combinational NCL Circuit Design / Sequential NCL Circuit Design / NCL Throughput Optimization / Low-Power NCL Design / Comprehensive NCL Design Example

  • af Douglas Summerville
    302,95 kr.

    This textbook provides practicing scientists and engineers an advanced treatment of the Atmel AVR microcontroller. This book is intended as a follow-on to a previously published book, titled Atmel AVR Microcontroller Primer: Programming and Interfacing. Some of the content from this earlier text is retained for completeness. This book will emphasize advanced programming and interfacing skills. We focus on system level design consisting of several interacting microcontroller subsystems. The first chapter discusses the system design process. Our approach is to provide the skills to quickly get up to speed to operate the internationally popular Atmel AVR microcontroller line by developing systems level design skills. We use the Atmel ATmega164 as a representative sample of the AVR line. The knowledge you gain on this microcontroller can be easily translated to every other microcontroller in the AVR line. In succeeding chapters, we cover the main subsystems aboard the microcontroller, providing a short theory section followed by a description of the related microcontroller subsystem with accompanying software for the subsystem. We then provide advanced examples exercising some of the features discussed. In all examples, we use the C programming language. The code provided can be readily adapted to the wide variety of compilers available for the Atmel AVR microcontroller line. We also include a chapter describing how to interface the microcontroller to a wide variety of input and output devices. The book concludes with several detailed system level design examples employing the Atmel AVR microcontroller. Table of Contents: Embedded Systems Design / Atmel AVR Architecture Overview / Serial Communication Subsystem / Analog to Digital Conversion (ADC) / Interrupt Subsystem / Timing Subsystem / Atmel AVR Operating Parameters and Interfacing / System Level Design

  • af Andrew Marshall
    302,95 kr.

    Component variability, mismatch, and various noise effects are major contributors to design limitations in most modern IC processes. Mismatch and Noise in Modern IC Processes examines these related effects and how they affect the building block circuits of modern integrated circuits, from the perspective of a circuit designer. Variability usually refers to a large scale variation that can occur on a wafer to wafer and lot to lot basis, and over long distances on a wafer. This phenomenon is well understood and the effects of variability are included in most integrated circuit design with the use of corner or statistical component models. Mismatch, which is the emphasis of section I of the book, is a local level of variability that leaves the characteristics of adjacent transistors unmatched. This is of particular concern in certain analog and memory systems, but also has an effect on digital logic schemes, where uncertainty is introduced into delay times, which can reduce margins and introduce 'race' conditions. Noise is a dynamic effect that causes a local mismatch or variability that can vary during operation of a circuit, and is considered in section II. Noise can be the result of atomic effects in devices or circuit interactions, and both of these are discussed in terms of analog and digital circuitry. Table of Contents: Part I: Mismatch / Introduction / Variability and Mismatch in Digital Systems / Variability and Mismatch in Analog Systems I / Variability and Mismatch in Analog Systems II / Lifetime-Induced Variability / Mismatch in Nonconventional Processes / Mismatch Correction Circuits / Part II: Noise / Component and Digital Circuit Noise / Noise Effects in Digital Systems / Noise Effects in Analog Systems / Circuit Design to Minimize Noise Effects / Noise Considerations in SOI

  • af Richard Tinder
    360,95 kr.

    Asynchronous Sequential Machine Design and Analysis provides a lucid, in-depth treatment of asynchronous state machine design and analysis presented in two parts: Part I on the background fundamentals related to asynchronous sequential logic circuits generally, and Part II on self-timed systems, high-performance asynchronous programmable sequencers, and arbiters. Part I provides a detailed review of the background fundamentals for the design and analysis of asynchronous finite state machines (FSMs). Included are the basic models, use of fully documented state diagrams, and the design and characteristics of basic memory cells and Muller C-elements. Simple FSMs using C-elements illustrate the design process. The detection and elimination of timing defects in asynchronous FSMs are covered in detail. This is followed by the array algebraic approach to the design of single-transition-time machines and use of CAD software for that purpose, one-hot asynchronous FSMs, and pulse mode FSMs. Part I concludes with the analysis procedures for asynchronous state machines. Part II is concerned mainly with self-timed systems, programmable sequencers, and arbiters. It begins with a detailed treatment of externally asynchronous/internally clocked (or pausable) systems that are delay-insensitive and metastability-hardened. This is followed by defect-free cascadable asynchronous sequencers, and defect-free one-hot asynchronous programmable sequencers--their characteristics, design, and applications. Part II concludes with arbiter modules of various types, those with and without metastability protection, together with applications. Presented in the appendices are brief reviews covering mixed-logic gate symbology, Boolean algebra, and entered-variable K-map minimization. End-of-chapter problems and a glossary of terms, expressions, and abbreviations contribute to the reader's learning experience. Five productivity tools are made available specifically for use with this text and briefly discussed in the Preface. Table of Contents: I: Background Fundamentals for Design and Analysis of Asynchronous State Machines / Introduction and Background / Simple FSM Design and Initialization / Detection and Elimination of Timing Defects in Asynchronous FSMs / Design of Single Transition Time Machines / Design of One-Hot Asynchronous FSMs / Design of Pulse Mode FSMs / Analysis of Asynchronous FSMs / II: Self-Timed Systems/ Programmable Sequencers, and Arbiters / Externally Asynchronous/Internally Clocked Systems / Cascadable Asynchronous Programmable Sequencers (CAPS) and Time-Shared System Design / Asynchronous One-Hot Programmable Sequencer Systems / Arbiter Modules

  • af William Eccles
    252,95 kr.

    Pragmatic Power is focused on just three aspects of the AC electrical power system that supplies and moves the vast majority of electrical energy nearly everywhere in the world: three-phase power systems, transformers, and induction motors. The reader needs to have had an introduction to electrical circuits and AC power, although the text begins with a review of the basics of AC power. Balanced three-phase systems are studied by developing their single-phase equivalents. The study includes a look at how the cost of "e;"e;power"e;"e; is affected by reactive power and power factor. Transformers are considered as a circuit element in a power system, one that can be reasonably modeled to simplify system analysis. Induction motors are presented as the most common way to change electrical energy into rotational energy. Examples include the correct selection of an induction motor for a particular rotating load. All of these topics include completely worked examples to aid the reader in understanding how to apply what has been learned. This short lecture book will be of use to students at any level of engineering, not just electrical, because it is intended for the practicing engineer or scientist looking for a practical, applied introduction to AC power systems. The author's "e;"e;pragmatic"e;"e; and applied style gives a unique and helpful "e;"e;nonidealistic, practical, and opinionated"e;"e; introduction to the topic. Table of Contents: Three-Phase Power: 3 > 3 x 1 / Transformers: Edison Lost / Induction Motors: Just One Moving Part

  • af D. Michael Miller
    302,95 kr.

    Multiple Valued Logic: Concepts and Representations begins with a survey of the use ofmultiple-valued logic in several modern application areas including electronic design automation algorithms and circuit design. The mathematical basis and concepts of various algebras and systems of multiple valued logic are provided including comparisons among various systems and examples of their application. The book also provides an examination of alternative representations of multiple-valued logic suitable for implementation as data structures in automated computer applications. Decision diagram structures for multiple valued applications are described in detail with particular emphasis on the recently developed quantum multiple valued decision diagram. Table of Contents: Multiple Valued Logic Applications / MVL Concepts and Algebra / Functional Representations / Reversible andQuantum Circuits / Quantum Multiple-Valued Decision Diagrams / Summary / Bibliography

  • af Justin Davis
    302,95 kr.

    Finite State Machine Datapath Design, Optimization, and Implementation explores the design space of combined FSM/Datapath implementations. The lecture starts by examining performance issues in digital systems such as clock skew and its effect on setup and hold time constraints, and the use of pipelining for increasing system clock frequency. This is followed by definitions for latency and throughput, with associated resource tradeoffs explored in detail through the use of dataflow graphs and scheduling tables applied to examples taken from digital signal processing applications. Also, design issues relating to functionality, interfacing, and performance for different types of memories commonly found in ASICs and FPGAs such as FIFOs, single-ports, and dual-ports are examined. Selected design examples are presented in implementation-neutral Verilog code and block diagrams, with associated design files available as downloads for both Altera Quartus and Xilinx Virtex FPGA platforms. A working knowledge of Verilog, logic synthesis, and basic digital design techniques is required. This lecture is suitable as a companion to the synthesis lecture titled Introduction to Logic Synthesis using Verilog HDL. Table of Contents: Calculating Maximum Clock Frequency / Improving Design Performance / Finite State Machine with Datapath (FSMD) Design / Embedded Memory Usage in Finite State Machine with Datapath (FSMD) Designs

  • af William J. Eccles
    252,95 kr.

    Pragmatic Logic presents the analysis and design of digital logic systems. The author begins with a brief study of binary and hexadecimal number systems and then looks at the basics of Boolean algebra. The study of logic circuits is divided into two parts, combinational logic, which has no memory, and sequential logic, which does. Numerous examples highlight the principles being presented. The text ends with an introduction to digital logic design using Verilog, a hardware description language. The chapter on Verilog can be studied along with the other chapters in the text. After the reader has completed combinational logic in Chapters 4 and 5, sections 9.1 and 9.2 would be appropriate. Similarly, the rest of Chapter 9 could be studied after completing sequential logic in Chapters 6 and 7. This short lecture book will be of use to students at any level of electrical or computer engineering and for practicing engineers or scientists in any field looking for a practical and applied introduction to digital logic. The author's "e;"e;pragmatic"e;"e; and applied style gives a unique and helpful "e;"e;non-idealist, practical, opinionated"e;"e; introduction to digital systems.

  • af Paul Tobin
    360,95 kr.

    PSpice for Digital Signal Processing is the last in a series of five books using Cadence Orcad PSpice version 10.5 and introduces a very novel approach to learning digital signal processing (DSP). DSP is traditionally taught using Matlab/Simulink software but has some inherent weaknesses for students particularly at the introductory level. The 'plug in variables and play' nature of these software packages can lure the student into thinking they possess an understanding they don't actually have because these systems produce results quicklywithout revealing what is going on. However, it must be said that, for advanced level work Matlab/Simulink really excel. In this book we start by examining basic signals starting with sampled signals and dealing with the concept of digital frequency. The delay part, which is the heart of DSP, is explained and applied initially to simple FIR and IIR filters. We examine linear time invariant systems starting with the difference equation and applying the z-transform to produce a range of filter type i.e. low-pass, high-pass and bandpass. The important concept of convolution is examined and here we demonstrate the usefulness of the 'log' command in Probe for giving the correct display to demonstrate the 'flip n slip' method. Digital oscillators, including quadrature carrier generation, are then examined. Several filter design methods are considered and include the bilinear transform, impulse invariant, and window techniques. Included also is a treatment of the raised-cosine family of filters. A range of DSP applications are then considered and include the Hilbert transform, single sideband modulator using the Hilbert transform and quad oscillators, integrators and differentiators. Decimation and interpolation are simulated to demonstrate the usefulness of the multi-sampling environment. Decimation is also applied in a treatment on digital receivers. Lastly, we look at some musical applications for DSP such as reverberation/echo using real-world signals imported into PSpice using the program Wav2Ascii. The zero-forcing equalizer is dealt with in a simplistic manner and illustrates the effectiveness of equalizing signals in a receiver after transmission.

  • af Paul Tobin
    360,95 kr.

    In this book, PSpice for Filters and Transmission Lines, we examine a range of active and passive filters where each design is simulated using the latest Cadence Orcad V10.5 PSpice capture software. These filters cannot match the very high order digital signal processing (DSP) filters considered in PSpice for Digital Signal Processing, but nevertheless these filters have many uses. The active filters considered were designed using Butterworth and Chebychev approximation loss functions rather than using the 'cookbook approach' so that the final design will meet a given specification in an exacting manner. Switched-capacitor filter circuits are examined and here we see how useful PSpice/Probe is in demonstrating how these filters, filter, as it were. Two-port networks are discussed as an introduction to transmission lines and, using a series of problems, we demonstrate quarter-wave and single-stub matching. The concept of time domain reflectrometry as a fault location tool on transmission lines is then examined. In the last chapter we discuss the technique of importing and exporting speech signals into a PSpice schematic using a tailored-made program Wav2ascii. This is a novel technique that greatly extends the simulation boundaries of PSpice. Various digital circuits are also examined at the end of this chapter to demonstrate the use of the bus structure and other techniques.

  • af Paul Tobin
    360,95 kr.

    In PSpice for Analog Communications Engineering we simulate the difficult principles of analog modulation using the superb free simulation software Cadence Orcad PSpice V10.5. While use is made of analog behavioral model parts (ABM), we use actual circuitry in most of the simulation circuits. For example, we use the 4-quadrant multiplier IC AD633 as a modulator and import real speech as the modulating source and look at the trapezoidal method for measuring the modulation index. Modulation is the process of relocating signals to different parts of the radio frequency spectrum by modifying certain parameters of the carrier in accordance with the modulating/information signals. In amplitude modulation, the modulating source changes the carrier amplitude, but in frequency modulation it causes the carrier frequency to change (and in phase modulation it's the carrier phase). The digital equivalent of these modulation techniques are examined in PSpice for Digital communications Engineering where we examine QAM, FSK, PSK and variants. We examine a range of oscillators and plot Nyquist diagrams showing themarginal stability of these systems. The superhetrodyne principle, the backbone of modern receivers is simulated using discrete components followed by simulating complete AM and FM receivers. In this exercise we examine the problems ofmatching individual stages and the use of double-tuned RF circuits to accommodate the large FM signal bandwidth.

  • af Paul Tobin
    360,95 kr.

    PSpice for Digital Communications Engineering shows how to simulate digital communication systems and modulation methods using the very powerful Cadence Orcad PSpice version 10.5 suite of software programs. Fourier series and Fourier transform are applied to signals to set the ground work for the modulation techniques introduced in later chapters. Various baseband signals, including duo-binary baseband signaling, are generated and the spectra are examined to detail the unsuitability of these signals for accessing the public switched network. Pulse code modulation and time-division multiplexing circuits are examined and simulated where sampling and quantization noise topics are discussed. We construct a single-channel PCM system from transmission to receiver i.e. end-to-end, and import real speech signals to examine the problems associated with aliasing, sample and hold. Companding is addressed here and we look at the A and mu law characteristics for achieving better signal to quantization noise ratios. Several types of delta modulators are examined and also the concept of time divisionmultiplexing is considered. Multi-level signaling techniques such as QPSK andQAMare analyzed and simulated and 'home-made meters', such as scatter and eye meters, are used to assess the performance of these modulation systems in the presence of noise. The raised-cosine family of filters for shaping data before transmission is examined in depth where bandwidth efficiency and channel capacity is discussed. We plot several graphs in Probe to compare the efficiency of these systems. Direct spread spectrum is the last topic to be examined and simulated to show the advantages of spreading the signal over a wide bandwidth and giving good signal security at the same time.

  • af Paul Tobin
    360,95 kr.

    PSpice for Circuit Theory and Electronic Devices is one of a series of five PSpice books and introduces the latest Cadence Orcad PSpice version 10.5 by simulating a range of DC and AC exercises. It is aimed primarily at those wishing to get up to speed with this version but will be of use to high school students, undergraduate students, and of course, lecturers. Circuit theorems are applied to a range of circuits and the calculations by hand after analysis are then compared to the simulated results. The Laplace transform and the s-plane are used to analyze CR and LR circuits where transient signals are involved. Here, the Probe output graphs demonstrate what a great learning tool PSpice is by providing the reader with a visual verification of any theoretical calculations. Series and parallel-tuned resonant circuits are investigated where the difficult concepts of dynamic impedance and selectivity are best understood by sweeping different circuit parameters through a range of values. Obtaining semiconductor device characteristics as a laboratory exercise has fallen out of favour of late, but nevertheless, is still a useful exercise for understanding or modelling semiconductor devices. Inverting and non-inverting operational amplifiers characteristics such as gain-bandwidth are investigated and we will see the dependency of bandwidth on the gain using the performance analysis facility. Power amplifiers are examined where PSpice/Probe demonstrates very nicely the problems of cross-over distortion and other problems associated with power transistors. We examine power supplies and the problems of regulation, ground bounce, and power factor correction. Lastly, we look at MOSFET device characteristics and show how these devices are used to form basic CMOS logic gates such as NAND and NOR gates.

  • af Robert B. Reese
    252,95 kr.

    Introduction to Logic Synthesis Using Verilog HDL explains how to write accurate Verilog descriptions of digital systems that can be synthesized into digital system netlists with desirable characteristics. The book contains numerous Verilog examples that begin with simple combinational networks and progress to synchronous sequential logic systems. Common pitfalls in the development of synthesizable Verilog HDL are also discussed along with methods for avoiding them. The target audience is anyone with a basic understanding of digital logic principles who wishes to learn how to model digital systems in the Verilog HDL in a manner that also allows for automatic synthesis. A wide range of readers, from hobbyists and undergraduate students to seasoned professionals, will find this a compelling and approachable work. The book provides concise coverage of the material and includes many examples, enabling readers to quickly generate high-quality synthesizable Verilog models.

  • af Justin Davis
    302,95 kr.

    High-Speed Digital System Design bridges the gap from theory to implementation in the real world. Systems with clock speeds in low megahertz range qualify for high-speed. Proper design results in quality digital transmissions and lowers the chance for errors. This book is for computer and electrical engineers who may or may not have learned electromagnetic theory. The presentation style allows readers to quickly begin designing their own high-speed systems and diagnosing existing designs for errors. After studying this book, readers will be able to:Design the power distribution system for a printed circuit board to minimize noisePlan the layers of a PCB for signals, power, and ground to maximize signal quality and minimize noiseInclude test structures in the printed circuit board to easily diagnose manufacturing mistakesChoose the best PCB design parameters such a trace width, height,and routed path to ensure the most stable characteristic impedanceDetermine the correct termination to minimize reflectionsPredict the delay caused by a given PCB traceMinimize driver power consumption using AC terminationsCompensate for discontinuities along a PCB traceUse pre-emphasis and equalization techniques to counteract lossy transmission linesDetermine the amount of crosstalk between two tracesDiagnose existing PCBs to determine the sources of errors

  • af Steven F. Barrett
    302,95 kr.

    This book provides practicing scientists and engineers a tutorial on the fundamental concepts and use of microcontrollers. Today, microcontrollers, or single integrated circuit (chip) computers, play critical roles in almost all instrumentation and control systems. Most existing books arewritten for undergraduate and graduate students taking an electrical and/or computer engineering course. Furthermore, these texts have beenwritten with a particular model of microcontroller as the target discussion. These textbooks also require a requisite knowledge of digital design fundamentals. This textbook presents the fundamental concepts common to all microcontrollers. Our goals are to present the over-arching theory of microcontroller operation and to provide a detailed discussion on constituent subsystems available in most microcontrollers. With such goals, we envision that the theory discussed in this book can be readily applied to a wide variety of microcontroller technologies, allowing practicing scientists and engineers to become acquainted with basic concepts prior to beginning a design involving a specific microcontroller. We have found that the fundamental principles of a given microcontroller are easily transferred to other controllers. Although this is a relatively small book, it is packed with useful information for quickly coming up to speed on microcontroller concepts.

  • af B. I. Pawate
    302,95 kr.

    This book discusses how to develop embedded products using DaVinci & OMAP Technology from Texas Instruments Incorporated. It presents a single software platform for diverse hardware platforms. DaVinci & OMAP Technology refers to the family of processors, development tools, software products, and support. While DaVinci Technology is driven by the needs of consumer video products such as IP network cameras, networked projectors, digital signage and portable media players, OMAP Technology is driven by the needs of wireless products such as smart phones. Texas Instruments offers a wide variety of processing devices to meet our users' price and performance needs. These vary from single digital signal processing devices to complex, system-on-chip (SoC) devices with multiple processors and peripherals. As a software developer you question: Do I need to become an expert in signal processing and learn the details of these complex devices before I can use them in my application? As a senior executive you wonder: How can I reduce my engineering development cost? How can I move from one processor to another from Texas Instruments without incurring a significant development cost? This book addresses these questions with sample code and gives an insight into the software architecture and associated component software products that make up this software platform. As an example, we show how we develop an IP network camera. Using this software platform, you can choose to focus on the application and quickly create a product without having to learn the details of the underlying hardware or signal processing algorithms. Alternatively, you can choose to differentiate at both the application as well as the signal processing layer by developing and adding your algorithms using the xDAIS for Digital Media, xDM, guidelines for component software. Finally, you may use one code base across different hardware platforms.Table of Contents: Software Platform / More about xDM, VISA, & CE / Building a Product Based on DaVinci Technology / Reducing Development Cost / eXpressDSP Digital Media (xDM) / Sample Application Using xDM / Embedded Peripheral Software Interface (EPSI) / Sample Application Using EPSI / Sample Application Using EPSI and xDM / IP Network Camera on DM355 Using TI Software / Adding your secret sauce to the Signal Processing Layer (SPL) / Further Reading

  • af Parag K. Lala
    252,95 kr.

    An Introduction to Logic Circuit Testing provides a detailed coverage of techniques for test generation and testable design of digital electronic circuits/systems. The material covered in the book should be sufficient for a course, or part of a course, in digital circuit testing for senior-level undergraduate and first-year graduate students in Electrical Engineering and Computer Science. The book will also be a valuable resource for engineers working in the industry. This book has four chapters. Chapter 1 deals with various types of faults that may occur in very large scale integration (VLSI)-based digital circuits. Chapter 2 introduces the major concepts of all test generation techniques such as redundancy, fault coverage, sensitization, and backtracking. Chapter 3 introduces the key concepts of testability, followed by some ad hoc design-for-testability rules that can be used to enhance testability of combinational circuits. Chapter 4 deals with test generation and response evaluation techniques used in BIST (built-in self-test) schemes for VLSI chips.Table of Contents: Introduction / Fault Detection in Logic Circuits / Design for Testability / Built-in Self-Test / References

  • af Steven F. Barrett & Daniel J. Pack
    692,95 kr.

    This textbook provides practicing scientists and engineers a primer on the Microchip AVR® microcontroller. The revised title of this book reflects the 2016 Microchip Technology acquisition of Atmel Corporation. In this third edition we highlight the popular ATmega164 microcontroller and other pin-for-pin controllers in the family with a complement of flash memory up to 128 KB. The third edition also provides an update on Atmel Studio, programming with a USB pod, the gcc compiler, the ImageCraft JumpStart C for AVR compiler, the Two-Wire Interface (TWI), and multiple examples at both the subsystem and system level. Our approach is to provide readers with the fundamental skills to quickly set up and operate with this internationally popular microcontroller. We cover the main subsystems aboard the ATmega164, providing a short theory section followed by a description of the related microcontroller subsystem with accompanying hardware and software to operate the subsystem. In all examples,we use the C programming language. We include a detailed chapter describing how to interface the microcontroller to a wide variety of input and output devices and conclude with several system level examples including a special effects light-emitting diode cube, autonomous robots, a multi-function weather station, and a motor speed control system.

  • af Sawai Pongswatd
    492,95 kr.

    A microcontroller is a compact, integrated circuit designed to govern a specific operation in an embedded system. A typical microcontroller includes a processor, memory, and input/output (I/O) peripherals on a single chip. When they first became available, microcontrollers solely used Assembly language. Today, the C programming language (and some other high-level languages) can be used as well. Some of advanced microcontrollers support another programming technique as well: Graphical programming. In graphical programming, the user does not write any code but draws the block diagram of the system he wants. Then a software converts the drawn block diagram into a suitable code for the target device. Programming microcontrollers using graphical programming is quite easier than programming in C or Assembly. You can implement a complex system within hours with graphical programming while its implementation in C may take months. These features make the graphical programming an important option for engineers. This book study the graphical programming of STM32F4 high-performance microcontrollers with the aid of Simulink\textregistered\ and Waijung blockset. Students of engineering (for instance, electrical, biomedical, mechatronics and robotic to name a few), engineers who work in industry, and anyone who want to learn the graphical programming of STM32F4 can benefit from this book. Prerequisite for this book is the basic knowledge of MATLABi\textregistered/Simulink\textregistered.

  • af Ernest M. Kim & Thomas F. Schubert Jr.
    443,95 - 692,95 kr.

  • af William Eccles
    302,95 kr.

  • af William J. Eccles
    293,94 kr.

Gør som tusindvis af andre bogelskere

Tilmeld dig nyhedsbrevet og få gode tilbud og inspiration til din næste læsning.