Vi bøger
Levering: 1 - 2 hverdage

Indbyggede systemer

Her finder du spændende bøger om Indbyggede systemer. Nedenfor er et flot udvalg af over 97 bøger om emnet.
Vis mere
Filter
Filter
Sorter efterSorter Populære
  • af Akash Kumar
    1.158,95 kr.

    This book is a single-source solution for anyone who is interested in exploring emerging reconfigurable nanotechnology at the circuit level. It lays down a solid foundation for circuits based on this technology having considered both manual as well as automated design flows. The authors discuss the entire design flow, consisting of both logic and physical synthesis for reconfigurable nanotechnology-based circuits. The authors describe how transistor reconfigurable properties can be exploited at the logic level to have a more efficient circuit design flow, as compared to conventional design flows suited for CMOS. Further, the book provides insights into hardware security features that can be intrinsically developed using the runtime reconfigurable features of this nanotechnology.

  • af Mário Saldanha
    634,95 - 692,95 kr.

    This book discusses the Versatile Video Coding (VVC), the ISO and ITU state-of-the-art video coding standard. VVC reaches a compression efficiency significantly higher than its predecessor standard (HEVC) and it has a high versatility for efficient use in a broad range of applications and different types of video content, including Ultra-High Definition (UHD), High-Dynamic Range (HDR), screen content, 360 videos, and resolution adaptivity. The authors introduce the novel VVC tools for block partitioning, intra-frame and inter-frames predictions, transforms, quantization, entropy coding, and in-loop filtering. The authors also present some solutions exploring VVC encoding behavior at different levels to accelerate the intra-frame prediction, applying statistical-based heuristics and machine learning (ML) techniques.

  • af Selahattin Sayil
    412,95 - 542,95 kr.

    This textbook provides readers with a comprehensive introduction to various noise sources that significantly reduce performance and reliability in nanometer-scale integrated circuits. The author covers different types of noise, such as crosstalk noise caused by signal switching of adjacent wires, power supply noise or IR voltage drop in the power line due to simultaneous buffer / gate switching events, substrate coupling noise, radiation-induced transients, thermally induced noise and noise due to process and environmental Coverages also includes the relationship between some of these noise sources, as well as compound effects, and modeling and mitigation of noise mechanisms.

  • af Muhammad Hassan
    868,95 - 1.154,95 kr.

    This book describes a comprehensive combination of methodologies that strongly enhance the modern Virtual Prototype (VP)-based verification flow for heterogeneous systems-on-chip (SOCs). In particular, the book combines verification and analysis aspects across various stages of the VP-based verification flow, providing a new perspective on verification by leveraging advanced techniques, like metamorphic testing, data flow testing, and information flow testing. In addition, the book puts a strong emphasis on advanced coverage-driven methodologies to verify the functional behavior of the SOC as well as ensure its security.Provides an extensive introduction to the modern VP-based verification flow for heterogeneous SOCs;Introduces a novel metamorphic testing technique for heterogeneous SOCs which does not require reference models;Includes automated advanced data flow coverage-driven methodologies tailored for SystemC/AMS-based VPs;Describes enhanced functional coverage-driven methodologies to verify various functional behaviors of RF amplifiers.

  • af Jyotsna Kumar Mandal
    1.307,95 - 1.649,95 kr.

    This book covers four sections such as artificial intelligence and machine learning; VLSI and signal processing; robotics and automation; and communications and networking. This book is a collection of selected papers presented at the First International Conference on Innovations in Signal Processing and Embedded Systems (ICISPES 2021), organized by MLR Institute of Technology, Hyderabad, India, during October 22-23, 2021. The topics covered are advanced communication technologies, IoT-based systems and applications, application AI in computer vision, natural language processing, reinforcement learning,  ANN and deep neural networks,  RNN, GAN, CNN and RBM, SOC, NOC design, VLSI and CAD/CAM, cross-layer design, fault tolerance and computation theories, FPGA in outer space, nanotechnology, semiconductor technology, signal and image processing, high-performance computing, pattern recognition and computer vision innovations in robotics, reconfigurable robots, and MEMS/NEMS.

  • af Dominik Merli
    592,95 kr.

    This practical guide to building embedded and IoT devices securely is an essential resource for current and future developers tasked with protecting users from the potential threats of these ubiquitous devices.As an engineer, you know that countless devices—from industrial components to smart household appliances—rely on embedded computer systems. But how do you balance the need for robust security with performance and innovative product design?Engineering Secure Devices will guide you through crafting secure devices—from protecting crucial assets to the nature of attackers and the risks they pose. You’ll explore the technical intricacies and pros and cons of symmetric and asymmetric cryptography and learn how to use and analyze random number generators and cryptographic algorithms. You’ll learn how to ensure confidential data storage and secure memory, and devise secure device identity solutions and communication protocols to reinforce system architecture against potential threats. And finally, you’ll learn how to properly design secure boot and secure update processes, manage access control, and perform system monitoring to secure IoT devices.Real-world case studies throughout highlight practical applications, solutions, and obstacles, such as firmware updates with SWUpdate, secure communication with MQTT, and advanced access control with AppArmor.You’ll also dig into topics like: Analyzing the performance of cryptographic implementations in both hardware and softwareConsiderations for secure boot and software update processes to ensure ongoing firmware integrityDesigning robust device architectures that withstand attacks while maintaining critical operationsDeveloping strategies to detect and respond to anomalies or security breaches in embedded systemsWhether you’re an IoT developer or an embedded system architect, Engineering Secure Devices equips you with the indispensable knowledge to design, secure, and support the next generation of smart devices—from webcams to four-legged robots.

  • af Robert Plantz
    448,95 kr.

    Learn what goes on "under the hood" of a computer.All high-level languages are ultimately translated into machine instructions that control a computer's hardware. Introduction to Computer Organization: ARM reveals exactly how that hardware works "under the hood." Written for anyone familiar with basic programming concepts, the reader is taken through the machine's architecture from the bottom up and the software on down—with a special focus on the ARM instruction set commonly found in smartphones, laptops, and tablets.Beginning with an exploration of modern computing's mathematical foundations—including the binary system and Boolean algebra—the book introduces hardware components such as circuits, CPU, and memory. Later chapters discuss how software controls hardware, providing an in-depth look at the basic programming constructs in low-level languages like C and C++. Finally, readers are shown how the computer's compiler translates code into assembly language that can directly access the instruction set architecture.

  • af Abhishek Sharma
    1.530,95 kr.

    "Smart Embedded Systems: Advances and Applications" is a comprehensive guide that demystifies the complex world of embedded technology.

  • af Weiqiang Liu
    995,95 - 1.282,95 kr.

    This book explores the technological developments at various levels of abstraction, of the new paradigm of approximate computing. The authors describe in a single-source the state-of-the-art, covering the entire spectrum of research activities in approximate computing, bridging device, circuit, architecture, and system levels.  Content includes tutorials, reviews and surveys of current theoretical/experimental results, design methodologies and applications developed in approximate computing for a wide scope of readership and specialists.Serves as a single-source reference to state-of-the-art of approximate computing;Covers broad range of topics, from circuits to applications;Includes contributions by leading researchers, from academia and industry.

  • af Shivananda R. Koteshwar
    1.062,95 kr.

  • af Karim Abbas
    889,95 - 1.176,95 kr.

    This book uses digital radios as a challenging design example, generalized to bridge a typical gap between designers who work on algorithms and those who work to implement those algorithms on silicon. The author shows how such a complex system can be moved from high-level characterization to a form that is ready for hardware implementation. Along the way, readers learn a lot about how algorithm designers can benefit from knowing the hardware they target and how hardware designers can benefit from a familiarity with the algorithm. The book shows how a high-level description of an algorithm can be migrated to a fixed-point block diagram with a well-defined cycle accurate architecture and a fully documented controller. This can significantly reduce the length of the hardware design cycle and can improve its outcomes. Ultimately, the book presents an explicit design flow that bridges the gap between algorithm design and hardware design.Provides a guide to baseband radio design for Wi-Fi and cellular systems, from an implementation-focused, perspective;Explains how arithmetic is moved to hardware and what the cost of each operation is in terms of delay, area and power;Enables strategic architectural decisions based on the algorithm, available processing units and design requirements.

  • af Hoi-Jun Yoo
    1.530,95 kr.

    Unlike most available sources that focus on deep neural network (DNN) inference, this book provides readers with a single-source reference on the needs, requirements, and challenges involved with on-device, DNN training semiconductor and SoC design. The authors include coverage of the trends and history surrounding the development of on-device DNN training, as well as on-device training semiconductors and SoC design examples to facilitate understanding.

  • af Rashmi Agrawal & Ajay Joshi
    567,95 kr.

    This book provides an introduction to the key concepts of Fully Homomorphic Encryption (FHE)-based computing, and discusses the challenges associated with architecting FHE-based computing systems. Readers will see that due to FHE¿s ability to compute on encrypted data, it is a promising solution to address privacy concerns arising from cloud-based services commonly used for a variety of applications including healthcare, financial, transportation, and weather forecasting. This book explains the fundamentals of the FHE operations and then presents an architectural analysis of the FHE-based computing. The authors also highlight challenges associated with accelerating FHE on various commodity platforms and argue that the FPGA platform provides a sweet spot in making privacy-preserving computing plausible.

  • af Marian Verhelst, Nimish Shah & Wannes Meert
    875,95 kr.

    This book focuses on the acceleration of emerging irregular sparse workloads, posed by novel artificial intelligent (AI) models and sparse linear algebra. Specifically, the book outlines several co-optimized hardware-software solutions for a highly promising class of emerging sparse AI models called Probabilistic Circuit (PC) and a similar sparse matrix workload for triangular linear systems (SpTRSV). The authors describe optimizations for the entire stack, targeting applications, compilation, hardware architecture and silicon implementation, resulting in orders of magnitude higher performance and energy-efficiency compared to the existing state-of-the-art solutions. Thus, this book provides important building blocks for the upcoming generation of edge AI platforms.

  • af Sourav Ghosh
    482,95 kr.

    Explore techniques to design and implement low latency applications and study the impact of latency reductionPurchase of the print or Kindle book includes a free PDF eBookKey Features:Understand the impact application performance latencies have on different business use casesDevelop a deep understanding of C++ features for low latency applications through real-world examples and performance dataLearn how to build all the components of a C++ electronic trading system from scratchBook Description:C++ is meticulously designed with efficiency, performance, and flexibility as its core objectives. However, real-time low latency applications demand a distinct set of requirements, particularly in terms of performance latencies.With this book, you'll gain insights into the performance requirements for low latency applications and the C++ features critical to achieving the required performance latencies. You'll also solidify your understanding of the C++ principles and techniques as you build a low latency system in C++ from scratch.You'll understand the similarities between such applications, recognize the impact of performance latencies on business, and grasp the reasons behind the extensive efforts invested in minimizing latencies. Using a step-by-step approach, you'll embark on a low latency app development journey by building an entire electronic trading system, encompassing a matching engine, market data handlers, order gateways, and trading algorithms, all in C++. Additionally, you'll get to grips with measuring and optimizing the performance of your trading system.By the end of this book, you'll have a comprehensive understanding of how to design and build low latency applications in C++ from the ground up, while effectively minimizing performance latencies.What You Will Learn:Gain insights into the nature of low latency applications across various industriesUnderstand how to design and implement low latency applicationsExplore C++ design paradigms and features for low latency developmentDiscover which C++ features are best avoided in low latency developmentImplement best practices and C++ features for low latencyMeasure performance and improve latencies in the trading systemWho this book is for:This book is for C++ developers who want to gain expertise in low latency applications and effective design and development strategies. C++ software engineers looking to apply their knowledge to low latency trading systems such as HFT will find this book useful to understand which C++ features matter and which ones to avoid. Quantitative researchers in the trading industry eager to delve into the intricacies of low latency implementation will also benefit from this book. Familiarity with Linux and the C++ programming language is a prerequisite for this book.

  • af Fedor G. Pikus
    522,95 kr.

    A comprehensive guide with extensive coverage of concepts such as OOP, functional programming, generic programming, concurrency, and STL along with the latest features of C++Purchase of the print or Kindle book includes a free PDF eBookKey Features:Delve into the core patterns and components of C++ to master application designLearn tricks, techniques, and best practices to solve common design and architectural challengesUnderstand the limitation imposed by C++ and how to solve them using design patternsBook Description:C++ is a general-purpose programming language designed for efficiency, performance, and flexibility. Design patterns are commonly accepted solutions to well-recognized design problems. In essence, they are a library of reusable components, only for software architecture, and not for a concrete implementation.This book helps you focus on the design patterns that naturally adapt to your needs, and on the patterns that uniquely benefit from the features of C++. Armed with the knowledge of these patterns, you'll spend less time searching for solutions to common problems and tackle challenges with the solutions developed from experience. You'll also explore that design patterns are a concise and efficient way to communicate, as patterns are a familiar and recognizable solution to a specific problem and can convey a considerable amount of information with a single line of code.By the end of this book, you'll have a deep understanding of how to use design patterns to write maintainable, robust, and reusable software.What You Will Learn:Recognize the most common design patterns used in C++Understand how to use C++ generic programming to solve common design problemsExplore the most powerful C++ idioms, their strengths, and their drawbacksRediscover how to use popular C++ idioms with generic programmingDiscover new patterns and idioms made possible by language features of C++17 and C++20Understand the impact of design patterns on the program's performanceWho this book is for:This book is for experienced C++ developers and programmers who wish to learn about software design patterns and principles and apply them to create robust, reusable, and easily maintainable programs and software systems.

  • af Gabriel Alfonso Rincon-Mora
    664,95 kr.

  • af Sudeep Pasricha & Saideep Tiku
    1.187,95 kr.

    While GPS is the de-facto solution for outdoor positioning with a clear sky view, there is no prevailing technology for GPS-deprived areas, including dense city centers, urban canyons, buildings and other covered structures, and subterranean facilities such as underground mines, where GPS signals are severely attenuated or totally blocked. As an alternative to GPS for the outdoors, indoor localization using machine learning is an emerging embedded and Internet of Things (IoT) application domain that is poised to reinvent the way we navigate in various indoor environments. This book discusses advances in the applications of machine learning that enable the localization and navigation of humans, robots, and vehicles in GPS-deficient environments. The book explores key challenges in the domain, such as mobile device resource limitations, device heterogeneity, environmental uncertainties, wireless signal variations, and security vulnerabilities. Countering these challenges can improve theaccuracy, reliability, predictability, and energy-efficiency of indoor localization and navigation. The book identifies severalnovel energy-efficient, real-time, and robust indoor localization techniques that utilize emerging deep machine learning and statistical techniques to address the challenges for indoor localization and navigation. In particular, the book:Provides comprehensive coverage of the application of machine learning to the domain of indoor localization;Presents techniques to adapt and optimize machine learning models for fast, energy-efficient indoor localization;Covers design and deployment of indoor localization frameworks on mobile, IoT, and embedded devices in real conditions.

  • af Lennart Bamberg
    1.257,95 - 1.266,95 kr.

    This book describes the first comprehensive approach to the optimization of interconnect architectures in 3D systems on chips (SoCs), specially addressing the challenges and opportunities arising from heterogeneous integration. Readers learn about the physical implications of using heterogeneous 3D technologies for SoC integration, while also learning to maximize the 3D-technology gains, through a physical-effect-aware architecture design. The book provides a deep theoretical background covering all abstraction-levels needed to research and architect tomorrow's 3D-integrated circuits, an extensive set of optimization methods (for power, performance, area, and yield), as well as an open-source optimization and simulation framework for fast exploration of novel designs.

  • af Rolf Drechsler & Sebastian Huhn
    1.154,95 kr.

  • af Robert Lorenz
    892,95 kr.

    This book constitutes the proceedings of the 44th International Conference on Application and Theory of Petri Nets and Concurrency, PETRI NETS 2023, which took place in Lisbon, Portugal, in June 2023. The 21 full papers included in this book were carefully reviewed and selected from 47 submissions. They were organized in topical sections as follows: Process mining; semantics; tools; verification; timed models; model transformation. The book also includes two invited talks in full paper length.

  • af Mark Wijtvliet
    1.159,95 kr.

    Dieses Buch beschreibt eine neue, grobkörnige rekonfigurierbare Architektur (CGRA), genannt Blocks, und stellt sie in den Kontext von Computerarchitekturen und insbesondere von anderen CGRAs. Das Buch beginnt mit einer ausführlichen Bewertung historischer und bestehender CGRAs und deren Stärken und Schwächen. Dies führt auch zu einem besseren Verständnis und einer neuen Definition dessen, was CGRAs von anderen Architekturansätzen unterscheidet.Die Autoren stellen Blocks als einzigartig vor, da es über separate programmierbare Steuer- und Datenpfade verfügt, so dass leichtgewichtige Befehlsdekodiereinheiten über eine statisch konfigurierte Verbindung beliebig mit einer oder mehreren Funktionseinheiten (FUs) verbunden werden können. In der Diskussion wird erläutert, wie Architekturen modelliert werden können, was zu einem Flächen- und Energiemodell für Blöcke führt. Die Genauigkeit dieses Modells wird anhand vollständig implementierter Architekturen bewertet, wobei sich zeigt, dass die Fehlerspanne sehr akzeptabel ist, obwohl es um drei Größenordnungen schneller ist als die Synthese. Das Buch schließt mit einer Fallstudie zu einem echten System-on-Chip, einschließlich einer RISC-Architektur, der Blocks CGRA und Peripheriegeräten.

  • af Rob Maskell
    407,95 kr.

    Whether you are a new LabVIEW programmer or an old seasoned one, this book aims to offer some guidance to build better programs. It discusses designs that use LCOD Hidden Data, Abstraction, Cohesion and Coupling methods.

  • af Vikrant Bhateja, Wendy Flores-Fuentes, V. V. S. S. S. Chakravarthy & mfl.
    2.657,95 kr.

    This book discusses the latest developments and outlines future trends in the fields of microelectronics, electromagnetics and telecommunication. It contains original research works presented at the International Conference on Microelectronics, Electromagnetics and Telecommunication (ICMEET 2021), held in Bhubaneswar, Odisha, India during 27 - 28 August 2021. The papers were written by scientists, research scholars and practitioners from leading universities, engineering colleges and R&D institutes from all over the world and share the latest breakthroughs in and promising solutions to the most important issues facing today's society.

  • af Chuzo Ninagawa
    1.148,95 kr.

    This book deals with analysis techniques for TCP, UDP, Web, and SOAP data transmission performance on the IoT cloud side, CSMA-type, and multi-hop data transmission performance on the IoT edge side, as well as gateway receives buffer and transmit packet assembly data transmission performance.As mathematical tools for the analysis of communication performance, universal analysis methods such as stochastic processes, queues, Markov processes, and communication traffic simulators are applied to actual examples, and their usage is described in detail. This book aims to provide lifelong skills for analyzing communication performance that will serve as the basis for the future evolution of the IoT.

  • af Krishnendu Chakrabarty, Ramesh Karri, Sukanta Bhattacharjee, mfl.
    633,95 kr.

  • af Mohamed Bendaoud, Borutzky Wolfgang & Khalid Chikh
    2.387,95 kr.

    This book which is the second part of two volumes on ''Control of Electrical and Electronic Systems" presents a compilation of selected contributions to the 1st International Conference on Electrical Systems & Automation. The book provides rigorous discussions, the state of the art, and recent developments in the modelling, simulation and control of power electronics, industrial systems, and embedded systems. The book will be a valuable reference for beginners, researchers, and professionals interested in control of electrical and electronic systems.

  • af Andreas Gräßer
    308,95 kr.

    Ein Kompendium, das die in der Elektrotechnik, Mechatronik usw. üblichen Schaltungs-Analyseverfahren sehr übersichtlich nebeneinander stellt und erläutert. Dabei werden ¿Verwandtschaften¿ und Einsatzgebiete der verschiedenen Verfahren deutlich herausgestellt und abgegrenzt. Darüber hinaus werden auch numerische Verfahren berücksichtigt und es wird dargestellt, wie Schaltungssimulatoren funktionieren. An Hand einer kostenlos aus dem Internet zu beziehenden Demoversion des Schaltungssimulators LTspice wird dem Leser auch praktisches "Simulations-Know-How" vermittelt. Das Buch ist besonders für Studierende in Bachelorstudiengängen geeignet.

Gør som tusindvis af andre bogelskere

Tilmeld dig nyhedsbrevet og få gode tilbud og inspiration til din næste læsning.